FPGA Verilog / Altera ModelSim

field-programmable gate array : ユーザが構成を設定できる集積回路。

以前も下記でとりあげました。
「FPGA & GPU/OpenCL」
http://decode.red/blog/20150913427/

このときはソフトウェアエンジニア目線でFPGAというものを見ていましたが、最近電子工作を始めるようになって、FPGAについてまた違った魅力を感じるようになりました。
そこで最近Altera MAX10ボードを購入したことから、AlteraのツールのModelSimを試してみました。
ここではFPGAプログラミングの初歩であるビットカウンタをツールのチュートリアルをもとにシミュレートしました。

環境 : ModelSim ALTERA STARTER EDITION 10.4b / Windows 10
tcounter.v

counter.v

[File]->[Change Directory]でソースがあるフォルダを選択します。
[Compile]->[Compile]を選択すると以下の画面がでてくるのでソースを選択します。
sim_01

ソースと同じ階層に以下のようなcompileというファイルがあるので、自動的にworkフォルダが作成されるみたいです。

[Simulation]->[Start Simulation]でtest_counterを選択します。
sim_02

入力フォームRun Lengthを500nsにして、右のRunボタンを押すと、シミュレーション結果が表示されます。
sim_03

テストではリセットでインクリメントされてきたカウントがリセットされて、また再開していることを確認できます。
sim_04

FPGAではCPUも構成できます。つまりCPUを構成するのもデータ、そのCPUで走るプログラムもデータです。今回のテストのような単純なビットカウンタのようなものと区別しません。(Verilog-HDLでハードウェアを記述してデータを操作する)
最近いろいろと話題となっているFPGAが利用用途が広いのも納得できます。
またコンピュータの基本、デジタルであるということが、これだけいろんな技術が発達しても何も変わっていないことを再認識させられます。

今の目標としてはリアルタイムの画像データ処理で使えるようにしたいです。

またハードウェアに関して新しいブログもはじめました!

em7s

About

Categories: 未分類 タグ: